仿真波形,仿真波形

发布时间:2024-06-13 20:25:34 访问次数:

1、打开QuartusⅡ软件,

2、选择File→New

Project

Wizard

新建一项工程。

3、单击Next进入。

(任何一项设计都是一项工程Project,必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,要用英文的比如存在E/eda),之后会出现三个要填的,分别E/eda,COUNT,COUNT;单击Next进入下一个,first

name不填,单击Next进入对话框。在该对话框中指定目标器件,(我们选择的是QuickEDA核心板上用的Cyclone系列的EP1C6240C8。),next一直到finish

4、选择File→New

——VHDL

file

,将你的编程复制进去

5、File→save

as(新建个文件夹

用英文的)文件名一定要更改为COUNT(保持和实体一致,默认的文件名为VHDL1)

6、在QuartusⅡ主界面下选择Processing→Start

Compilation进行全程编译,会显示“successful”

7、在QuartusⅡ主界面下选择File→New命令,打开新建文件对话框,在该对话框中选择Vector

Waveform

File

8、在Nane栏内双击鼠标左键,弹出对话框。

9、点击Node

Finder

按钮5、在Filter栏选择Pins:all,点击List按钮,弹出如图所示对话框。

10、点击>>按钮,按2次OK后。

11、设置仿真结束时间。

在QuartusⅡ主界面下选择Edit→End

time…,打开图示对话框,将仿真结束时间设置为20us。

12、编辑输入节点波形

1)选中clk,在工具栏中点击Overwrite

Clock按钮,打开图示对话框,将CLK周期设置为50ns。

2)将clr设置为“0”(在波形图左边竖着的有个0矩形波)。(可以点击放大/缩小按钮,缩小时按右键)

13、保存仿真波形文件,File→save,按默认的保存就行

14、功能仿真。

1)在QuartusⅡ主界面下选择Processing→Simulate

Tool,

2)在Simulation

mode

下选择Functional,点击Generate

Functional

Simulation

Netlist按钮。点击Start按钮开始仿真。,仿真后点击Report按钮,打开仿真结果窗口(波形就出来了),在该窗口中可以观察设计结果,功能仿真没有考虑器件的延迟时间。